24小时热门版块排行榜    

查看: 1719  |  回复: 2

rosary

新虫 (初入文坛)

[交流] 【求助】verilog请教8位计数器 【代码+testbench都贴出来了】 已有2人参与

module counter5_67(out,clk);
output reg [7:0] out;
input clk;

initial        out=3'b101;
always@(posedge clk)
begin:block1        
        out=out+1'b1;
end

always@(out)
begin
        if(out==7'b1000011)         
        disable block1;
        end
endmodule
========================================

以下是testbench

-========================================
module test;
reg clock;
wire [7:0] OUT;

counter5_67 COUNTER(OUT,clock);

initial
begin
        clock=1'b0;
        forever #10 clock=~clock;
end

initial
begin
        #3000 $finish;
end

endmodule
==========================================
说一下我用的modelsim ae
仿真结果是disable仿佛并没有起作用
一直从5增到127然后变成-128 -127 -126。。。。
回复此楼
LDPCdecoder
已阅   回复此楼   关注TA 给TA发消息 送TA红花 TA的回帖

nios2nios

金虫 (小有名气)

★ ★ ★
小木虫(金币+0.5):给个红包,谢谢回帖
mze04532(金币+2): 奖励,感谢热心~ 2011-07-17 14:13:26
那是显示的问题,你用无符号表示,就可以显示出来了。选中要显示的端口,右击,选择无符号数显示。
2楼2011-07-17 08:57:13
已阅   回复此楼   关注TA 给TA发消息 送TA红花 TA的回帖

yiyekurong

新虫 (初入文坛)

溢出了吧
3楼2011-08-14 13:18:03
已阅   回复此楼   关注TA 给TA发消息 送TA红花 TA的回帖
相关版块跳转 我要订阅楼主 rosary 的主题更新
普通表情 高级回复 (可上传附件)
信息提示
请填处理意见