| 查看: 918 | 回复: 2 | |||
[求助]
为什么我的的vhdl三个程序都出现这个错误呢?
|
|
library IEEE; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; entity top is port ( clk1:in std_logic; C1,C5,P2,P3:in std_logic; pay_lcd0,pay_lcd1,need_lcd0,need_lcd1,Mout_lcd0,Mout_lcd1 utstd_logic_vector(6 downto 0); s,f,showout ut std_logic ;end top; architecture one of top is component fanmaijioriginal port( clk:in std_logic; coin1:in std_logic; coin5:in std_logic; select2:in std_logic; select4:in std_logic; pay ut std_logic_vector(3 downto 0);need ut std_logic_vector(3 downto 0); success ut std_logic;failure ut std_logic; getready ut std_logic; change ut std_logic_vector(3 downto 0) ; end component; component code11 port( b:in std_logic_vector(3 downto 0); b1 ut std_logic_vector(3 downto 0); b2 ut std_logic_vector(3 downto 0) ); end component; component code12 port( b:in std_logic_vector(3 downto 0); b1 ut std_logic_vector(3 downto 0); b2 ut std_logic_vector(3 downto 0) ); end component; component code13 port( b:in std_logic_vector(3 downto 0); b1 ut std_logic_vector(3 downto 0); b2 ut std_logic_vector(3 downto 0) ); end component; component code21 port( d:in std_logic_vector(3 downto 0); q ut std_logic_vector(6 downto 0)); end component; component code22 port( d:in std_logic_vector(3 downto 0); q ut std_logic_vector(6 downto 0)); end component; component code23 port( d:in std_logic_vector(3 downto 0); q ut std_logic_vector(6 downto 0)); end component; component code24 port( d:in std_logic_vector(3 downto 0); q ut std_logic_vector(6 downto 0));end component; component code25 port( d:in std_logic_vector(3 downto 0); q ut std_logic_vector(6 downto 0)); end component; component code26 port( d:in std_logic_vector(3 downto 0); q ut std_logic_vector(6 downto 0));end component; signal p,n,mo:std_logic_vector(3 downto 0); signal s1,s2,s3,s4,s5,s6:std_logic_vector(3 downto 0); begin u0:fanmaiji port map (clk=>clk1,coin1=>C1,coin5=>C5,select2=>P2,select4=>P3,success=>s, failure=>f,getready=>change,pay=>p,need=>n,change=>mo); u1:code11 port map(b=>p,b1=>s1,b2=>s2); u2:code12 port map(b=>n,b1=>s3,b2=>s4); u3:code13 port map(b=>mo,b1=>s5,b2=>s6); u4:code21 port map(d=>s1,q=>pay_lcd0); u5:code22 port map(d=>s2,q=>pay_lcd1); u6:code23 port map(d=>s3,q=>need_lcd0); u7:code24 port map(d=>s4,q=>need_lcd1); u8:code25 port map(d=>s5,q=>Mout_lcd0); u9:code26 port map(d=>s6,q=>Mout_lcd1); end one; 错误:Error (10500): VHDL syntax error at code2.vhd(2) near text "libraryÂ"; expecting "entity", or "architecture", or "use", or "library", or "package", or "configuration" |
» 猜你喜欢
三甲基碘化亚砜的氧化反应
已经有4人回复
请问下大家为什么这个铃木偶联几乎不反应呢
已经有5人回复
请问有评职称,把科研教学业绩算分排序的高校吗
已经有5人回复
孩子确诊有中度注意力缺陷
已经有12人回复
2025冷门绝学什么时候出结果
已经有3人回复
天津工业大学郑柳春团队欢迎化学化工、高分子化学或有机合成方向的博士生和硕士生加入
已经有4人回复
康复大学泰山学者周祺惠团队招收博士研究生
已经有6人回复
AI论文写作工具:是科研加速器还是学术作弊器?
已经有3人回复
论文投稿,期刊推荐
已经有4人回复
硕士和导师闹得不愉快
已经有13人回复
|
library IEEE; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; entity top is port ( clk1:in std_logic; C1,C5,P2,P3:in std_logic; pay_lcd0,pay_lcd1,need_lcd0,need_lcd1,Mout_lcd0,Mout_lcd1 utstd_logic_vector(6 downto 0); s,f,showout ut std_logic ;end top; architecture one of top is component fanmaijioriginal port( clk:in std_logic; coin1:in std_logic; coin5:in std_logic; select2:in std_logic; select4:in std_logic; pay ut std_logic_vector(3 downto 0);need ut std_logic_vector(3 downto 0); success ut std_logic;failure ut std_logic; getready ut std_logic; change ut std_logic_vector(3 downto 0) ; end component; component code11 port( b:in std_logic_vector(3 downto 0); b1 ut std_logic_vector(3 downto 0); b2 ut std_logic_vector(3 downto 0) ); end component; component code12 port( b:in std_logic_vector(3 downto 0); b1 ut std_logic_vector(3 downto 0); b2 ut std_logic_vector(3 downto 0) ); end component; component code13 port( b:in std_logic_vector(3 downto 0); b1 ut std_logic_vector(3 downto 0); b2 ut std_logic_vector(3 downto 0) ); end component; component code21 port( d:in std_logic_vector(3 downto 0); q ut std_logic_vector(6 downto 0)); end component; component code22 port( d:in std_logic_vector(3 downto 0); q ut std_logic_vector(6 downto 0)); end component; component code23 port( d:in std_logic_vector(3 downto 0); q ut std_logic_vector(6 downto 0)); end component; component code24 port( d:in std_logic_vector(3 downto 0); q ut std_logic_vector(6 downto 0));end component; component code25 port( d:in std_logic_vector(3 downto 0); q ut std_logic_vector(6 downto 0)); end component; component code26 port( d:in std_logic_vector(3 downto 0); q ut std_logic_vector(6 downto 0));end component; signal p,n,mo:std_logic_vector(3 downto 0); signal s1,s2,s3,s4,s5,s6:std_logic_vector(3 downto 0); begin u0:fanmaiji port map (clk=>clk1,coin1=>C1,coin5=>C5,select2=>P2,select4=>P3,success=>s, failure=>f,getready=>change,pay=>p,need=>n,change=>mo); u1:code11 port map(b=>p,b1=>s1,b2=>s2); u2:code12 port map(b=>n,b1=>s3,b2=>s4); u3:code13 port map(b=>mo,b1=>s5,b2=>s6); u4:code21 port map(d=>s1,q=>pay_lcd0); u5:code22 port map(d=>s2,q=>pay_lcd1); u6:code23 port map(d=>s3,q=>need_lcd0); u7:code24 port map(d=>s4,q=>need_lcd1); u8:code25 port map(d=>s5,q=>Mout_lcd0); u9:code26 port map(d=>s6,q=>Mout_lcd1); end one; 这是程序,上面那个不知道怎么出那么多表情。。。。 |
2楼2017-03-20 12:33:12
cdeda
至尊木虫 (知名作家)
- 应助: 1 (幼儿园)
- 金币: 17375.1
- 红花: 1
- 沙发: 8
- 帖子: 5228
- 在线: 133.2小时
- 虫号: 1239617
- 注册: 2011-03-20
- 性别: GG
- 专业: 信息理论与信息系统

3楼2017-03-20 17:23:35














utstd_logic_vector(6 downto 0);
;
回复此楼